Untitled 1 Responsive Flat Dropdown Menu Demo
345.10.21.980      Novello Giorgio       gio.nov@outlook.com  
   
Blog
Informatica

Intel presenta il primo Core Ultra con NPU per l’AI a dicembre, next-gen nel 2024 e 2025

Intel ha annunciato l’arrivo dei primi processori Intel Core Ultra per PC portatili, con la nuova nomenclatura ma anche importanti innovazioni come l’integrazione dell’AI.



Intel Core Ultra mobile
Intel ha ufficializzato l’arrivo della nuova generazione dei suoi nuovi processori mobile (nome in codice Meteor Lake). In particolare è stata annunciato il primo Intel Core Ultra con il cambio di nomenclatura che non riporta più la generazione e del nodo produttivo Intel 4 in arrivo a dicembre sui primi PC portatili.

Nuove esperienze di intelligenza artificiale basate sui processori Intel Core Ultra
Intel inaugurerà l’era dei PC AI con i prossimi processori Intel Core Ultra, nome in codice Meteor Lake, dotati della prima unità di elaborazione neurale integrata, o NPU, per l’accelerazione AI a basso consumo energetico e l’inferenza locale sul PC. Intel ha confermato che Core Ultra verrà lanciato il 14 dicembre. I dettagli:

Core Ultra offre elaborazione AI a bassa latenza indipendente dalla connettività con una maggiore privacy dei dati.
Core Ultra integra per la prima volta una NPU nel silicio client. La NPU è costruita per consentire bassi consumi e alta qualità e fornire esperienze PC completamente nuove. È ideale per i carichi di lavoro che migrano dalla CPU che necessitano di qualità o efficienza più elevate o per carichi di lavoro che in genere verrebbero eseguiti nel cloud a causa della mancanza di elaborazione client efficiente.
Core Ultra rappresenta un punto di svolta nella roadmap dei processori client di Intel: è il primo design di chiplet client abilitato dalla tecnologia di packaging Foveros. Oltre alla NPU e agli importanti progressi nelle prestazioni di efficienza energetica grazie alla tecnologia di processo Intel 4, il nuovo processore offre prestazioni grafiche di livello discreto con la grafica Intel® Arc™ integrata.
L’architettura disaggregata di Core Ultra offre un equilibrio tra prestazioni e potenza nelle attività basate sull’intelligenza artificiale:
La GPU offre parallelismo e throughput delle prestazioni, ideali per l’intelligenza artificiale infusa nei media, nelle applicazioni 3D e nella pipeline di rendering.

L’NPU è un motore AI dedicato a basso consumo per AI e AI offload prolungati.
La CPU ha una risposta rapida ideale per attività IA leggere, a inferenza singola e a bassa latenza.
Intel ha evidenziato una collaborazione con Acer per portare l’intelligenza artificiale nei suoi prossimi sistemi Core Ultra, mostrando come la nuova funzionalità software “Acer Parallax” utilizza l’NPU per aggiungere un aspetto 3D alle immagini degli utenti.

ntel ha confermato anche l’arrivo delle prossime generazioni di CPU Arrow Lake, Lunar Lake e Panther Lake basati sul nodo produttivo Intel 3 e 20A con il rinnovamento del design dei transistor RibbonFet e PowerVia, in arrivo nel 2024.

Nuovi progressi nelle soluzioni in silicio, packaging e multi-chiplet
Il lavoro inizia con l’innovazione del silicio. Il programma di sviluppo del processo di cinque nodi in quattro anni di Intel sta procedendo bene, ha affermato Gelsinger, con Intel 7 già in produzione in grandi volumi, Intel 4 manufacturing-ready e Intel 3 sulla buona strada per la fine di quest’anno.

Gelsinger ha anche mostrato un wafer Intel 20A con i primi chip di test per il processore Arrow Lake di Intel, destinato al mercato del client computing nel 2024. Intel 20A sarà il primo nodo di processo a includere PowerVia, la tecnologia di erogazione di potenza posteriore di Intel, e il nuovo design a transistor gate-all-around chiamato RibbonFET. Intel 18A, che sfrutta anche PowerVia e RibbonFET, rimane sulla buona strada per essere pronto per la produzione nella seconda metà del 2024.

Un altro modo in cui Intel porta avanti la Legge di Moore è con nuovi materiali e nuove tecnologie di packaging, come i substrati di vetro: una svolta annunciata da Intel questa settimana. Quando verranno introdotti alla fine di questo decennio, i substrati di vetro consentiranno il continuo ridimensionamento dei transistor su un pacchetto per contribuire a soddisfare la necessità di carichi di lavoro ad alta intensità di dati e ad alte prestazioni come l’intelligenza artificiale e manterranno la legge di Moore ben oltre il 2030.

Intel ha anche mostrato un pacchetto di chip di prova realizzato con Universal Chiplet Interconnect Express (UCIe). La prossima ondata della Legge di Moore arriverà con pacchetti multi-chiplet, ha affermato Gelsinger, e arriverà prima se gli standard aperti riusciranno a ridurre le difficoltà legate all’integrazione dell’IP. Creato lo scorso anno, lo standard UCIe consentirà ai chiplet di diversi fornitori di lavorare insieme, consentendo nuovi progetti per l’espansione di diversi carichi di lavoro AI. La specifica aperta è supportata da più di 120 aziende.

Il chip di test combinava un chiplet Intel UCIe IP fabbricato su Intel 3 e un chiplet Synopsys UCIe IP fabbricato sul nodo di processo TSMC N3E. I chiplet sono collegati utilizzando la tecnologia di packaging avanzata EMIB (embedded multi-die interconnect bridge). La dimostrazione evidenzia l’impegno di TSMC, Synopsys e Intel Foundry Services nel supportare un ecosistema di chiplet basato su standard aperti con UCIe.



 

© 2015-2023  Novello Giorgio. Tutti i diritti riservati.
e-Mail: gio.nov@outlook.com  Tel: 345.10.21.980
Genova (GE)


Sviluppato da Giorgio Novello